Domov Dopredu myslenie Preskúmajte hlavné body konferencie v polovodičových obvodoch (isscc)

Preskúmajte hlavné body konferencie v polovodičových obvodoch (isscc)

Obsah:

Video: ISSCC2020: Plenary - Future Scaling: Where Systems and Technology Meet (Septembra 2024)

Video: ISSCC2020: Plenary - Future Scaling: Where Systems and Technology Meet (Septembra 2024)
Anonim

Veľa sme počuli o tom, ako sa Mooreov zákon v poslednej dobe spomaľuje, a hoci sa to v niektorých prípadoch zdá byť pravdou, v iných častiach polovodičového podnikania sa stále napreduje. Na minulotýždňovej Medzinárodnej konferencii obvodov pevných látok (ISSCC) sa zdalo, že veľké trendy čipov sú okolo zavádzania nových materiálov, nových techník a nových nápadov, aby sa ďalej zvyšovala hustota tranzistorov a zlepšovala energetická účinnosť. To samozrejme nie je novinka. Videli sme to v rozhovoroch o produkcii logických čipov o nových 7nm procesoch, o vytvorení 512Gb 3D NAND čipov ao rôznych nových procesoroch.

Návrhári čipov uvažujú o nových štruktúrach a materiáloch pre tranzistory, ako je znázornené na obrázku vyššie z TSMC. Uskutočnilo sa aj veľa diskusií o nových nástrojoch na výrobu tranzistorov vrátane pokrokov v litografii, ako sú EUV a riadená montáž, a nových spôsobov balenia viacnásobných matríc.

Predtým, ako sa začnem venovať podrobnostiam, je pre mňa celkom úžasné, ako ďaleko sa stal čipový priemysel a ako sa všadeprítomné čipy stali v našom každodennom živote. CTO spoločnosti Texas Instruments Ahmad Bahai vo svojej prezentácii poznamenal, že v roku 2015 priemysel predal v priemere 109 čipov pre každú osobu na planéte. Jeho prednáška sa zamerala na to, ako namiesto trhov, v ktorých dominuje jediná aplikácia - prvé počítače, potom mobilné telefóny - sa teraz priemysel musí viac zamerať na „to, aby bolo všetko inteligentnejšie“, pretože rôzne druhy čipov sa dostávajú do obrovského množstva aplikácií.,

Priemysel však čelí veľkým výzvam. Počet spoločností, ktoré si môžu dovoliť stavať špičkové zariadenia na výrobu logiky, sa zmenil z dvadsaťdva v uzle 130nm na iba štyri spoločnosti dnes v uzle 16/14 nm (Intel, Samsung, TSMC a GlobalFoundries) s novým procesom. vývoj technológií stojí miliardy miliárd a nové zariadenia stoja ešte viac. Skutočne, minulý týždeň spoločnosť Intel uviedla, že vynaloží 7 miliárd dolárov na vývoj 7nm v škrupine bájky postavenej pred niekoľkými rokmi v Arizone.

Stále však existovalo množstvo prezentácií o plánoch rôznych spoločností prejsť na procesy 10nm a 7nm.

TSMC zaviedla svoj 10nm proces a prvým oznámeným čipom bol Qualcomm Snapdragon 835, ktorý sa má čoskoro objaviť. TSMC môže byť najvzdialenejší v skutočnosti pri komercializácii toho, čo nazýva 7nm proces, a v ISSCC opísal funkčný 7nm SRAM testovací čip. Na tento účel sa použije štandardný koncept tranzistora FinFET, ale s niektorými obvod techniky, vďaka ktorým funguje spoľahlivo a efektívne pri menšej veľkosti. Najmä TSMC tvrdí, že vyrobí prvú verziu svojich 7nm čipov pomocou ponornej litografie, namiesto čakania na EUV ako väčšina jej konkurentov.

Pripomeňme si, že to, čo každý z hlavných výrobcov nazýva 7nm, sa výrazne líši, takže z hľadiska hustoty je možné, že proces TSMC 7nm bude podobný nasledujúcemu procesu 10nm od spoločnosti Intel.

Spoločnosť Samsung tiež pracuje na 7nm a spoločnosť dala jasne najavo, že plánuje čakať na EUV. Spoločnosť Samsung na výstave hovorila o výhodách litografie EUV, ako aj o pokroku, ktorý dosiahla pri používaní tejto technológie.

3D NAND

Niektoré zo zaujímavejších oznámení sa týkali 512Gb 3D NAND blesku a ukázali, ako rýchlo rastie hustota zábleskov NAND.

Western Digital (ktorý získal spoločnosť SanDisk) hovoril o 512Gb 3D NAND flash zariadení, ktoré oznámila pred predstavením, a vysvetlil, ako toto zariadenie naďalej zvyšuje hustotu takýchto čipov.

Tento konkrétny čip používa 64 vrstiev pamäťových buniek a troch bitov na bunku na dosiahnutie 512Gb na matrici, ktorá meria 132 štvorcových milimetrov. Nie je to tak husté ako dizajn Micron / Intel 3D NAND, ktorý používa inú architektúru s periférnymi obvodmi pod maticou (CuA) na dosiahnutie 768 Gb na matrici so šírkou 179 štvorcových milimetrov, ale je to pekný krok vpred. Spoločnosti WD a Toshiba uviedli, že dokázali zvýšiť spoľahlivosť a zrýchliť čítacie časy o 20 percent a dosiahnuť priepustnosť zápisu 55 megabajtov za sekundu (MBps). Ide o pilotnú výrobu, ktorá sa má uskutočniť v druhej polovici roku 2017.

Spoločnosť Samsung predviedla svoj nový 64-vrstvový 512Gb 3D NAND čip, rok potom, čo ukázala 48-vrstvové 256Gb zariadenie. Spoločnosť urobila veľký bod, aby preukázala, že zatiaľ čo plošná hustota záblesku 2D NAND vzrástla od roku 2011 do roku 2016 o 26 percent ročne, od zavedenia záblesku 3D NAND blesku sa jej podarilo zvýšiť o 50 percent ročne. pred.

Čip Samsung s 512 Gb, ktorý tiež používa technológiu troch bitov na bunku, má veľkosť matrice 128, 5 štvorcových milimetrov, takže je mierne hustejší ako dizajn WD / Toshiba, aj keď nie tak dobrý ako dizajn Micron / Intel. Spoločnosť Samsung strávila väčšinu svojho prejavu opisom toho, ako používanie tenších vrstiev predstavuje výzvy a ako vytvorila nové techniky na riešenie problémov so spoľahlivosťou a výkonom, ktoré vznikli pri použití týchto tenších vrstiev. Uvádza sa, že čas čítania je 60 mikrosekúnd (149 MB / s sekvenčné čítanie) a priepustnosť zápisu je 51 MB / s.

Je jasné, že všetky tri veľké flash kempy NAND robia dobrý proces a výsledkom by mali byť hustejšie a prípadne lacnejšie pamäte zo všetkých.

Nové pripojenia

Jednou z tém, ktoré som v poslednej dobe našiel najzaujímavejšie, je koncepcia vstavaného prepojovacieho mostíka (EMIB), alternatívy k iným tzv. Technológiám 2, 5D, ktoré kombinujú viacero zomrieť v balení s jedným čipom, ktoré je lacnejšie, pretože nevyžaduje kremíkový vkladač alebo priechody cez kremík. Na výstave o tom hovoril Intel pri popisovaní 14nm 1GHz FPGA, ktorý bude mať veľkosť matrice 560 mm 2 obklopenú šiestimi 20nm vysielačmi a prijímačmi matrice, ktoré sa vyrábajú samostatne, dokonca aj na iných technológiách. (Toto je pravdepodobne Stratix 10 SoC.) Ale to sa stalo zaujímavejšie neskôr v týždni, pretože spoločnosť Intel opísala, ako by túto techniku ​​použila na vytvorenie serverových čipov Xeon pri 7 nm a tretej generácie 10 nm.

Spracovatelia na ISSCC

ISSCC zaznamenala množstvo oznámení o nových procesoroch, ale namiesto ohlásení o čipoch sa dôraz sústredil na technológiu, ktorá umožňuje čo najlepšie fungovanie čipov. Zaujímalo ma, aké nové podrobnosti sa týkajú mnohých vysoko očakávaných čipov.

Očakávam, že nové žetóny Ryzen využívajúce novú architektúru ZEN AMD budú čoskoro dodané a AMD poskytla oveľa viac technických detailov o dizajne zenového jadra a rôznych vyrovnávacích pamätí.

Toto je 14nm FinFET čip založený na základnom návrhu pozostávajúcom z komplexu jadra so 4 jadrami, 2MB vyrovnávacej pamäte úrovne 2 a 8MB 16-cestnej asociatívnej vyrovnávacej úrovne 3. Spoločnosť uvádza základnú frekvenciu 8-jadrových, 16-závit verzia bude 3, 4 GHz alebo vyššia, a povedal, že čip ponúka viac ako 40 percent zlepšenie inštrukcií na cyklus (IPC) ako predchádzajúci návrh AMD.

Výsledkom je nové jadro, ktoré tvrdí spoločnosť AMD je efektívnejšie ako súčasný 14nm dizajn spoločnosti Intel, samozrejme, na to, aby sme videli skutočný výkon, budeme musieť počkať na konečné čipy.

Ako je opísané vyššie, bude to spočiatku k dispozícii v stolných počítačoch známych ako Summit Ridge a predpokladá sa, že bude k dispozícii do niekoľkých týždňov. Verzia servera známa ako Neapol sa má objaviť v druhom štvrťroku a APU s integrovanou grafikou predovšetkým pre notebooky sa má objaviť koncom tohto roka.

Spoločnosť IBM poskytla ďalšie podrobnosti o čipoch Power9, ktoré debutovala v Hot Chips, určených pre špičkové servery a teraz je označená ako „optimalizovaná pre kognitívne výpočty“. Jedná sa o 14nm čipy, ktoré budú dostupné vo verziách pre obidve stupnice (s 24 jadrami, ktoré môžu spracovať 4 simultánne vlákna) alebo zväčšené (s 12 jadrami, ktoré môžu spracovať 8 simultánnych nití). Čipy budú podporovať CAPI (procesor koherentného urýchľovača). Rozhranie) vrátane CAPI 2.0 pomocou spojení PCIe Gen 4 rýchlosťou 16 gigabitov za sekundu (Gbps); a OpenCAPI 3.0, navrhnuté tak, aby pracovali s rýchlosťou až 25 Gbps. Okrem toho bude pracovať s programom NVLink 2.0 na pripojenie k akcelerátorom GPU spoločnosti Nvidia.

MediaTek poskytol prehľad svojho pripravovaného Helio X30, 10-jadrového mobilného procesora 2, 8 GHz, ktorý je pozoruhodný tým, že je prvou spoločnosťou, ktorá sa bude vyrábať v 10nm procese (pravdepodobne v TSMC).

Toto je zaujímavé, pretože má tri rôzne základné komplexy: prvé má dve jadrá ARM Cortex-A73 bežiace na 2, 8 GHz, navrhnuté tak, aby rýchlo zvládli ťažké úlohy; druhé má štyri jadrá A53 2, 5 GHz, navrhnuté pre najtypickejšie úlohy; a tretí má štyri jadrá A35 2, 0 GHz, ktoré sa používajú, keď je telefón nečinný alebo na veľmi ľahké úlohy. MediaTek hovorí, že klaster A53 s nízkym príkonom je o 40% účinnejší ako klaster A73 s vysokým výkonom a že klaster A35 s veľmi nízkym výkonom je o 44% účinnejší ako klaster s nízkym výkonom.

Na výstave bolo veľa akademických prác na témy ako čipy špeciálne navrhnuté pre strojové učenie. Som si istý, že v tomto smere uvidíme oveľa väčší dôraz, od GPU po pasívne paralelné procesory navrhnuté tak, aby zvládli 8-bitové výpočty, až po neuromorfické čipy a vlastné ASIC. Je to rodiace sa pole, ale pole, ktoré práve získava úžasnú pozornosť.

Ešte väčšou výzvou môže byť najväčšia výzva v oblasti kvantovej výpočtovej techniky, čo je úplne iný spôsob výpočtovej techniky. Aj keď vidíme viac investícií, stále sa zdá, že z toho, aby sa stala bežnou technológiou, je ešte veľká cesta.

Medzitým sa však môžeme tešiť na veľa skvelých nových čipov.

Michael J. Miller je hlavným informačným úradníkom v súkromnej investičnej spoločnosti Ziff Brothers Investments. Miller, ktorý bol šéfredaktorom časopisu PC Magazine v rokoch 1991 až 2005, autori tohto blogu pre spoločnosť PCMag.com zdieľajú svoje názory na produkty súvisiace s počítačmi. Tento blog neponúka žiadne investičné poradenstvo. Všetky povinnosti sú vylúčené. Miller pracuje samostatne pre súkromnú investičnú spoločnosť, ktorá môže kedykoľvek investovať do spoločností, ktorých produkty sú uvedené v tomto blogu, a neuskutoční sa žiadne zverejnenie transakcií s cennými papiermi.

Preskúmajte hlavné body konferencie v polovodičových obvodoch (isscc)